LAM RESEARCH CORP LRCX
October 24, 2021 - 3:54pm EST by
mike126
2021 2022
Price: 556.74 EPS 26.90 34.33
Shares Out. (in M): 141 P/E 20.7 16.2
Market Cap (in $M): 78,500 P/FCF 24.2 18.6
Net Debt (in $M): 400 EBIT 4,483 5,712
TEV (in $M): 78,900 TEV/EBIT 17.6 13.8

Sign up for free guest access to view investment idea with a 45 days delay.

  • Semiconductor
  • Semi cap equipment

Description

DISCLAIMER: Nothing expressed below constitutes financial advice or offer to buy / sell any security, and the author or parties associated with the author may hold positions in securities mentioned below. You are encouraged to obtain the services of a registered financial advisor, and are further encouraged to perform your own research before coming to a decision on any security mentioned below.

 

NOTE: Lam's fiscal year ends in June. The financial information listed marked '2021' and '2022' at the top of this page refers to periods ended June 2021 and June 2022. The June 2022 numbers are from Bloomberg consensus.

 

Lam Research (aka ‘Lam’; ticker LRCX US) is one of the big three American semiconductor capital equipment ('semicap') companies.  I own shares in several semicap companies, but LRCX is among my larger weights and I believe it remains a very attractive investment for a new buyer.  Lam Research was written up on VIC by another member many years ago.  Its peers have also been written up (this includes my writeup of its peer KLAC), and today I also submitted a separate writeup (submitted under the ticker ‘SOXX’) that talks about the semiconductor value chain and the semicap industry more broadly. I am submitting two write-ups mainly because I am struggling for new ideas (and cannot find anything that I like more long-term than buying more semicap), but also because combining them into one write-up would create something that is way too long and hard to read; I also hope there’s enough analysis here to at least encourage some discussion on the industry or Lam, specifically.   In any case, if you are relatively new to the semicap industry, it might make sense to look at the other, more industry-focused write-up (submitted under the ticker 'SOXX') first.

 

 

The table below shows Lam's current headline multiples, Bloomberg consensus profit growth expectations, and business mix relative to its 4 closest peers.

 

Looking at these 5 companies, when you evaluate them holistically (taking into account business mix, growth, valuation, etc) no one company immediately jumps out as the superior investment.  None of these 5 companies is a ‘perfect’ investment that dominates the other 4 on each of the parameters.  For instance, ASML is effectively a monopoly and has the biggest backlog, with the greatest long-term revenue visibility.  But ASML’s valuation reflects this.  AMAT and Tokyo Electron are very solid businesses and I like them and think they are attractively valued, but I do not like absolutely everything about them.  Specifically, the display technology parts of their business is something I do not understand as much and am slightly wary of.   KLAC is an excellent, attractively-valued business with greater forward visibility than AMAT or Lam (and it will enjoy the biggest boost from logic & foundry spend inflection, if you ignore ASML) but KLAC has a risk due to KLAC’s relative reliance on optical inspection as well as efforts by AMAT and ASML to take share from KLAC both in inspection (mainly via ebeam or combined ebeam/optical tools) and broader process control.  I also have a worse understanding (and a lower willingness to capitalize at a high multiple) the businesses that KLAC acquired when it bought Orbotech.  If you put valuation aside, ASML and Lam have the ‘cleanest’ segmental profile, i.e. one that is the easiest for a new investor to try to understand. 

 

 

I like all 5 of the companies listed above but Lam is particularly interesting currently.  Both on trailing and forward multiples, Lam is noticeably cheaper than the other 4 companies in the table above.  This was not always the case; there were periods of time when Lam traded at richer multiples than the peers (except perhaps ASML).   Lam’s current discount to peers is mostly explained by Lam’s bigger gearing to memory capex, and the market is less excited about memory than about foundry / logic, at present.  This year, only Samsung significantly grew its memory capex (likely 30%+ yoy) while Micron and SK Hynix grew capex around 10%.  This is at a time when TSMC (the foundry leader) grew its capex by more than 60% this year.  While memory capex is still expected to grow in 2022 (most people are uncertain about what memory capex will do in 2023), it will probably undergrow foundry & logic capex in 2022 (and possibly also in 2023).   This is due to the TSMC and Intel foundry / logic spend (discussed in more detail in my 'SOXX' write-up on VIC).  Because of this and because of Lam’s high memory-weighting, Lam is not currently growing as fast as peers, and this growth differential is expected to persist in the next 2 years.   But, over the long term, memory and logic go hand in hand.  Devices need both memory and logic.  Advances in logic performance (and greater miniaturization) are aligned with greater propensity to gather and process data, and thus a greater hunger for memory and storage.  In the past 8 years, memory capex even outgrew foundry / logic capex (17% CAGR vs 8% capex) thanks to a big boost from NAND’s transition to 3D NAND.  Going forward, industry wafer capacity both in foundry / logic and in memory is expected to grow at a 5%-5.5% CAGR (according to ASML).  Longer term, I expect memory and foundry / logic capex to grow at similar rates and I am not concerned about Lam’s large current memory weighting.  

 

Besides ‘foundry / logic vs memory’, the other way to analyze Lam’s business mix is by the manufacturing grouping / stage.  Lam is the #1 player in etch (c.34% market share), where it competes mainly with AMAT and Tokyo Electron.  Etch is an oligopoly with effectively only 3 credible players controlling 4/5th of that segment; Lam is the biggest etch player.  Today, etch accounts for c.60-70% of Lam’s equipment sales (with the remainder being dep), but before 2012, Lam was a 100%-etch business. Lam entered dep through acquisition, buying Novellus in 2012.   This was a game-changing deal for Lam, in many ways (e.g. note that Lam’s current CEO Tim Archer is from Novellus).   Today, in deposition (aka ‘dep’) Lam is the #2 player (c.22% market share) behind AMAT (c.44% market share) and ahead of Tokyo Electron (c.10-15% market share).  Like etch, dep is also a very concentrated market with top 3 players controlling 70%+ of the market.   In dep, Lam’s portfolio lacks certain important products (such as epitaxy), but Lam still has been growing its market share in dep.  Lam has a strong presence in the ALD (atomic layer deposition) for the memory market.  Along with Lam’s capabilities in atomic layer etching (ALE), I think this positions Lam pretty well to capture some meaningful gains if/when DRAM transitions to 3D DRAM.  Lam’s ALD revenue also has long-term potential to grow significantly in foundry / logic.  Foundry / logic manufacturers are expected to significantly up their usage of ALD as logic architectures transition from FinFET to gate-all-around (GAA; aka ‘nanosheets’).  If Lam manages to successfully parlay its memory ALD/ALE experience into foundry / logic ALD, there is opportunity for Lam to gain some further dep market share.   However, at the same time, I note that Lam has been ceding some etch market share (to Tokyo Electron and AMAT, but mainly to Tokyo Electron).  While losing share is never a thing you want to see happen, Lam today is a more diversified, more balanced business than it was 10 years ago. 

 



Lam‘s traditional strength and strongest position has been in all things NAND and specifically 3DNAND.  The NAND-to-3DNAND transition has significantly increased the capex-intensity-per-wafer for NAND makers and this largely drove Lam to significantly outgrow the WFE in the past 8 years.  To the extent that DRAM eventually transitions to 3D-DRAM (possibly around 2025, but maybe later), I think this will again provide Lam a significant opportunity to be among the faster growing semicap players for a few years, but long-term I expect Lam to grow in-line with the market.  And anyway, the 3D-DRAM transition appears to be still a few years away, adding to that uncertainty.  

 

From a more defensive standpoint, one aspect of Lam’s business that is quite interesting is its services business (also known as CSBG, ‘customer support business group’).  CSBG refers to servicing, upgrades / refurb, parts, maintenance and consulting.  This now constitutes a full third of Lam’s total revenue (more than any other of its peers), and management expects this revenue to grow every single year.  Lam’s installed base (‘chamber count’) is currently north of 66,000 and is growing every year.   Management has increased its CSBG revenue per chamber by 1.5x relative to 2013, and expects this to reach 1.7x in 2023.  

 

 

Besides simply extrapolating management’s prior success in driving more CSBG revenue per tool, the other thing that gives credence to this ambition is Lam’s CSBG revenue / tool currently being a fair bit lower than AMAT’s.  There’s some opportunity to narrow that gap further, which is corroborated by Lam consistently growing its CSBG revenue faster than AMAT grows its service (‘AGS’ or Applied Global Services business segment) revenue. 

 

Lam doesn’t really comment on the longevity of its equipment but peers such as KLAC have hinted that equipment can last “a lot longer than a decade”, while ASML says a machine can last 25 years+.  ASML has recently said that over the life a DUV litho machine, the machine will attract a cumulative stream of services and upgrade revenue that adds up to 130% of its initial sale price.  The figure for an EUV machine is even higher.  Lam hasn’t disclosed a similar metric but based on current financials I think it’s somewhere north of 40%.  Given that Lam has plans to grow its CSBG revenue per tool (and bring that closer in line with AMAT), this 40% probably has some upside longer-term.  

 

Putting it all together, I think Lam’s current run-rate results are below true ‘mid-cycle’ levels.  The quality is high.  Lam is available at a slight discount to peers mainly because memory is currently less in vogue than foundry / logic (and this is not to understate Lam’s substantial foundry / logic presence).  Long-term I think Lam will grow EBITDA by 10% CAGR or higher.  I rarely subscribe to the SOTP way of valuing things, but running through it for LRCX will help illustrate the valuation argument.  A third of Lam's business that is services & parts-derived deserves a rather high multiple just because of predictability.  And the rest of the business (unit sales) does not deserve a low multiple either; WFE sales should grow at least in-line with semi GDP long-term, ie % MSD - % HSD CAGR or at least 2x the rate of GDP growth.  From a short-term perspective, the foundry & logic part of Lam’s business should grow a lot and comfortably outperform expectations in the next 2 years at least, while the memory business expectations are undemanding and can surprise to the upside.  Lam’s suppliers are saying that they are completely booked out for 2022 and are taking orders for 2023.  All in all, Lam’s setup is attractive both in the short-term and the long-term.  If the market keeps not recognizing this, then Lam will just continue repurchasing shares and at current levels (16x consensus NTM earnings) this is incredibly accretive for the patient shareholder.  I genuinely believe that eventually the market will come round closer to my way of thinking about Lam and the semicap industry, and we will sustainably rerate to significantly higher multiples.   Thus, I recommend to buy and hold Lam Research (LRCX), with an expectation of a c.15% equity IRR over the long-term absent multiple expansion, and a higher % IRR if we get some multiple expansion. 

 

Risks / where can I be wrong

China memory-maker demand.  A significant portion of Lam’s growth is driven by China, and specifically Chinese memory manufacturers, including YMTC (aka YMTZ) in NAND.  Memory-makers have hitherto largely escaped the regulatory / US national security heat attracted by Huawei /HiSilicon and China’s logic / foundry industry, partly because memory is thought to have less overlap potential with military applications.  But still, if US decides to crack down on China’s memory industry specifically (and I imagine someone like Micron may root for something like this to happen) and either straight up ban shipments to YMTC and or add more roadblocks (like additional export license restrictions), a portion of Lam’s revenue would immediately go to zero; some of that revenue would then return, because I suspect companies like Lam tend to find some ways around these roadblocks, but this is uncertain.   Another type of risk that can manifest out of China is financial, as manufacturers often either go bust or are stretched financially.   Thus, even though much is said about mainland China government’s appetite for supporting the domestic semi manufacturing industry, in practice it’s been far from smooth sailing as many companies there are yet to demonstrate sustainable economic returns.  I think these uncertainties are partly responsible for Lam trading at a discount to peers.       

 

Market share losses.  Lam can end up losing share to Applied Materials and/or Tokyo Electron in etch and/or dep.  But conversely, Lam may also end up gaining share.  On this front, there’s not a lot of short-term transparency and each respective management team toots its own horn.  Lam’s absolute $ annual R&D spend is lower than AMAT’s but greater than Tokyo Electron’s, and they all have been growing their R&D spend by c.10% CAGR over the past 5 years.  

 

Macro and high-beta.  Here I am referring to macro risks to consumer and business confidence; there’s a longer discussion of this in the SOXX write-up.   But note that memory-related share price swings in response to changes in sentiment are often more pronounced than foundry / logic, and Lam is more memory-weighted. 

 

I do not hold a position with the issuer such as employment, directorship, or consultancy.
I and/or others I advise hold a material investment in the issuer's securities.

Catalyst

Quarterly sales and management commentary

Key customer (Intel / TSMC / Samsung / Micron / SK Hynix / etc) quarterly results, capex guidance, and commentary

Further US / European government support for greater degree of domestic manufacturing of semiconductors

Compounding of intrinsic value, and passage of time

    show   sort by    
      Back to top