KLA CORP KLAC
September 13, 2019 - 7:04am EST by
mike126
2019 2020
Price: 149.61 EPS 7.54 5.67
Shares Out. (in M): 159 P/E 21.2 26.0
Market Cap (in $M): 23,826 P/FCF 23.3 17.1
Net Debt (in $M): 1,703 EBIT 1,389 1,252
TEV (in $M): 25,529 TEV/EBIT 18.4 20.3

Sign up for free guest access to view investment idea with a 45 days delay.

  • Industrial Equipment
  • Semi cap equipment
 

Description

 

KLA is one of my current top long ideas. It is an excellent business at a reasonable price. For more background on the industry and the value chain, I recommend the reader to also look at the VIC write-ups on Applied Materials (AMAT), Lam Research (LRCX), TSMC and Micron (MU).

 

Thesis overview

KLA (formerly known as KLA-Tencor) is one of the leading semiconductor capital equipment (semicap) providers globally.    KLA has a $24B market cap, roughly half the current size of the industry leader, Applied Materials (AMAT). KLA is specialized and dominant in “process control” and specifically, metrology and inspection, i.e. measuring and checking semiconductors for defects.  The diagrams below illustrate the various steps in the semi manufacturing process. The segment that KLA focuses on (“process control”) is on the right-hand side. 

 

 

 

I confess that I cannot grasp the exact science of what this company and its peers do, but the consistently good financial results mean it is reasonable for me to claim (with some confidence) that KLA is one of the higher-quality companies in the semicap and semi-related sectors.  KLA’s average GAAP EBIT margins over the past 5 years are 32%, a level that AMAT and ASML (a complete monopolist in its own segment - lithography) have not matched even in their peak years. KLA’s margins, revenues and cash flows are steadier than those generated by its peers, a function of KLA’s underlying segment (metrology and inspection) being less volatile and less linked to explicit capacity expansion decisions of the industry clients.   Roughly 60% of KLA’s shipments relate to leading edge, and 40% to trailing edge. KLA’s business also has a balanced exposure between memory vs logic & foundry.   

 

KLA’s equipment is some of the most complex in the fab and the customers undergo extensive training to learn to operate it.  Even very old KLA machines remain operational and attract a stream of service / maintenance fees a decade after installation.  KLA thus enjoys significant lock-in, with existing customers almost never switching from KLA and perpetuating KLA’s market share, which has been high and stable for many years.  20%+ of KLA’s revenue is services-related, and this segment is higher-quality than the analogous “services” segments of peers like AMAT and LRCX because KLA excludes parts. KLA also spends 15% of its revenue on R&D to maintain its position, and this is a higher percentage than AMAT (11%) or LRCX (11%). 

 

KLA is a very shareholder-oriented company.  Management explicitly targets to return at least 70% of FCF to shareholders via dividends and repurchases.  Over the past 7 year, dividends + share buybacks totalled 118% of the company’s cumulative FCF. KLA has consistently increased its dividend, with a 16% dividend CAGR over the long term. 

 

In the past 15 years, KLA never had a full year with negative FCF.  The company is so cash-generative that even while returning capital to shareholders and shrinking its share count, KLA still managed to fund M&A, buying numerous targets over the past 10 years to maintain KLA’s leadership.  KLA recently spent an amount equivalent to 15% of KLA’s market cap to acquire Orbotech. Orbotech is a lower-margin but faster growing business; the faster growth of the target and cost synergies result in the deal being immediately EPS-accretive.   The Orbotech deal also increases KLA’s addressable market (see below, along with pro-forma combined financials). 

 

 

KLA is in a growth industry.  In 2015 KLA described itself as a 5-7% organic grower, with components of that 5-7% growth broken down as 3% from growth of WFE, 1-2% from share gains, and 1-2% from services growth.  KLA massively outperformed that expectation (with a 3-year organic revenue CAGR of 15% since the beginning of 2016), and recently updated its “growth algorithm” to 7-9% organic growth over the long-term. 

 

 

This is clearly a very good business with a very shareholder-oriented management team and a bright medium term / long-term outlook, which leads to the question – what is the catch / what are the risks?

 

Cycle risk

The semicap market is cyclical, as it is driven by the annual capex spend of TSMC, Intel, Micron, Samsung, SK Hynix etc.  Wafer fab equipment (WFE) spend is the common way to measure the size of the market. WFE in CY2018 (calendar year 2018) was around $50-54B (depending on the source), and the market expectation for CY2019 is $42-43B.  See chart below.

 

 

Even though WFE is cyclical, in the long-term it is growing because the underlying market (semis) is growing.  Semis are a global, $450B revenue industry growing 6% mid-cycle (memory is growing faster; logic and foundry is growing slower, but the blended CAGR is 6%); the semis industry spends about 20-25% of revenue on capex (i.e. total capex around $100B). Half of that (i.e. $50B) is on WFE.  Capital intensity is stable or slightly going up for some segments like lithography. The $50B of WFE in CY2018 was split as $30B spent on memory and $20B spent on logic & foundry.  

 

 

In CY2019, the current run-rate WFE is c.$42B and that is made up of c.$18B spent on memory and $24B spent on logic & foundry.  The $18B of current memory WFE is very low and means a reduction in global memory capacity, so it is unsustainable long-term and will likely go up in CY2021 or even CY2020.  The memory part of WFE is thus very far below the mid-cycle point. On the other hand, logic & foundry WFE is currently strong and is expected to be still strong in CY2020 based on the speculated capex plans of TSMC, so logic & foundry WFE is above the cycle mid-point.  It seems that the true “mid-cycle” WFE is slightly above the current level. If memory and logic & foundry were to both be “bad” simultaneously, the downside total WFE level appears to be around $35B but even that level cannot be held indefinitely and WFE would eventually strongly recover.  In other words – WFE is cyclical but it is a bottleneck on global technological progress and joined at the hip with a secularly growing industry (semis). The semi industry always eventually finds a way to improve, redesign, and shrink the chips (or stack them on top of each other). E.g. see below the historical and forward node roadmaps. Note also that the health of the customers generally improved significantly over the past decade due to consolidation (eg see the industry margins and ROE in DRAM after Micron took out Elpida) as well as greater diversification of end markets (i.e. market growth used to be dominated by PCs, then it was smartphones, and now it is servers and a range of other segments such as auto / IoT, etc). This is helping make the entire value chain more attractive and investable, in my view.

 

 

Defensive company during recovery

During the past semi cycles, KLA suffered less during semi industry downturns but also benefited less during upswings.  This cycle is no different, with KLA’s results and forward estimates less impacted than for those of the more cyclical semicap peers like AMAT and LRCX.  Similarly, KLA’s share price a lot less beaten-up over the past 2 years than AMAT or LRCX. E.g. see the charts below. 

 

 

We are probably approaching an upswing, especially in memory, so the broadly-accepted idea is that it is currently best to own either more memory-oriented names or names in the semicap value chain that are more cyclical. Process control / metrology & inspection spend is less variable compared to spend elsewhere in the semicap value chain e.g. etching (Lam Research / LRCX) or deposition (Applied Materials / AMAT).  As a result, semi analysts and investors have a habit of trying to get long KLA into the downturn and then flip and get long LRCX or AMAT when it looks like the cycle has bottomed and is approaching an upswing. See below.

 

 

Competition in e-beam

KLAs total non-services revenue in CY2018 was $3.2B, which is 50% share of the global semi process control market (sized at $6.5B per KLA and Gartner).  Even though KLA’s overall market share has been relatively stable around 50% for many years, according to Goldman Sachs and Gartner, KLA lost share in a specific part of that market – patterned wafer inspection, which makes up about 1/3rds of KLA’s non-services revenue.  In patterned wafer inspection, KLA’s technology – optical inspection – has recently been losing share to e-beam inspection, an alternative technology advanced by ASML.  

 

 

KLA previously made the strategic decision to completely exit e-beam a few years ago on the belief that e-beam is an inferior technology with throughput that is dozens of times lower than optical inspection.  KLA’s overall view is that e-beam will remain a complementary technology to optical inspection, and they do not think there is a credible risk of substitution. See also their response to this on a conference call. 

 

 

Nevertheless, as a competitive response to ASML and a technological “hedge”, KLA is now developing an e-beam inspection tool, too.  This could stem KLA’s share loss in patterned wafer inspection, targeted with a launch in CY2020.   

 



Limits to market concentration

A few years ago, KLA wanted to merge with LRCX while AMAT wanted to merge with Tokyo Electron.  However, the semicap markets were already very concentrated and the antitrust hurdles could not be cleared.  As a result, very large-scale M&A will probably remain off the table, possibly forever. 



Valuation and return profile

Broadly speaking, KLA currently trades at or above its long-term multiples of EPS or EBITDA.  

 

Even so, I am prepared to argue that KLA’s quality, growth profile and shareholder-friendliness are such that KLA deserves premium multiples that are at least as high as the multiples commanded by best-in-class industrial companies.

 

My forecasted base case, bull case and bear case financials are presented below, along with consensus Bloomberg estimates.  My base case expectation is for c. 7% KLA revenue CAGR after the next 12 months, with exit EBIT margins close to KLA’s 5-year historical average. My base case might be too conservative, but "success = results - expectations", as they say. In the bull case, my numbers are essentially almost the same as the sell-side consensus; in the bull case, I have 10% revenue CAGR after the next 12 months, and exit EBIT margins that are at peak level and 500bps above the base case.   The bear case is very negative and assumes that at exit, KLA’s absolute $ EBIT is lower than in FY2018. 

 

 

Conclusion: By consistently generating high GAAP margins, respectable revenue growth and high ROCE, KLA has proven that it is a very good business.  The business spits out so much cash that when management sees value-creative inorganic uses for that cash, they go for that and when they do not, they return that cash via dividends and buybacks.  KLA was a lot cheaper even 6 months ago so the trailing 12M P/E multiple might not look like deep value, but for this kind of quality, I see it as reasonable-to-cheap on a long-term basis. I expect KLA to deliver a satisfactory multiple of invested capital and IRR over the long term.





I do not hold a position with the issuer such as employment, directorship, or consultancy.
I and/or others I advise hold a material investment in the issuer's securities.

Catalyst

KLA will hold its first investor/analyst day in 10 yrs on 17 Sep in NYC.  I expect KLA to use the opportunity to elaborate on its technological roadmap, organic revenue growth expectations, Orbotech, and potentially issue new EPS targets.  Other than that, at this point, I do not see any potentially substantial catalysts (except for periodic quarterly results).

    show   sort by    
      Back to top